Search

Technical Discussion Group Forum

This forum is provided for user discussion. While Beacon EmbeddedWorks support staff and engineers participate, Beacon EmbeddedWorks does not guarantee the accuracy of all information within in the Technical Discussion Group (TDG).

The "Articles" forums provide brief Articles written by Beacon EmbeddedWorks engineers that address the most frequently asked technical questions.

To receive email notifications when updates are posted for a Beacon EmbeddedWorks product download, please subscribe to the TDG Forum of interest.

TDG Forum

PrevPrev Go to previous topic
NextNext Go to next topic
Last Post 15 Nov 2004 01:06 PM by  mikee@logicpd.com
can't boot from flash when CPLD on target board is programme
 3 Replies
Sort:
You are not authorized to post a reply.
Author Messages
ylin
New Member
New Member
Posts:


--
12 Nov 2004 03:06 PM
    When we program the CPLD on our own target board, which is mapped to use address 0x30000000, or nCS3 region of the static memory controller, the bootloader in Flash, BOLO, does not execute after reset.

    The first time we power up the card engine after the CPLD has been programmed, everything is ok. I can load my software to SDRAM and execute it. However, subsequent resets result in the following messages on Tera Term:

    flash error: invalid vendor id 0c at 00000000
    ERROR, unknown flash size (0)

    and sometimes it is:

    flash error: number of vendor IDs 2 does not match number of device IDs 1

    We verified that the chip select nCS3 stays high during and after reset.

    Any information on when or why this scenario occurs would be very helpful.

    thank you,

    Yujie
    mikee@logicpd.com
    New Member
    New Member
    Posts:


    --
    15 Nov 2004 12:51 PM
    ylin,

    Are you re-programming the CPLD on the CardEngine with your own code? Or is this a seperate CPLD on some "mother board" you designed?

    --mikee
    ylin
    New Member
    New Member
    Posts:


    --
    15 Nov 2004 12:59 PM
    The CPLD is separate on a mother board that the company designed. It is not the same CPLD as the one on the card engine. On boot-up, the CPLD is trying to load data on the data lines, so instead of 3.3V signals, I'm seeing 1.8V signals, which explains why I get the weird message on Tera term. All the signals on the CPLD are suppose to be tri-stated on reset though. Since the problem lies with the our own CPLD, it's probably not something you can help me with,

    thank you,

    Yujie
    mikee@logicpd.com
    New Member
    New Member
    Posts:


    --
    15 Nov 2004 01:06 PM
    ylin,

    I see. I agree, this is an issue with your CPLD that will be too complicated to work out over the Technical Discussion Groups.

    Logic has some excellent CPLD/FPGA/ASIC designers on staff. If you need any help at all, please contact our support team and I'm sure they will be able to get you through this.

    Regards and best of luck,
    --mikee
    You are not authorized to post a reply.